When you purchase through links on our site, we may earn an affiliate commission. Here’s how it works.

Intel has placed an order for the next-gen High-NA EUV tools to fabricate 1.8nm chips

A wafer containing several chips

With the ongoing chip shortage that will take a couple of more years to recover from, Intel is making itself clear that it has understood the importance of manufacturing high-quality processors. Its rivals Samsung and TSMC were the first to adopt extreme ultraviolet (EUV) lithography technology. Now, Intel is making sure that it is the first to adopt the second generation of the EUV tools by ASML featuring a 0.55 NA (high-NA) that provides higher resolution and productivity. Intel, along with ASML, has announced that Team Blue has placed the order for the industry's first High-NA tool.

ASML President and CTO Martin van den Brink said:

“Intel’s vision and early commitment to ASML’s High-NA EUV technology is proof of its relentless pursuit of Moore’s Law. Compared to the current EUV systems, our innovative extended EUV roadmap delivers continued lithographic improvements at reduced complexity, cost, cycle time and energy that the chip industry needs to drive affordable scaling well into the next decade."

Intel plans to start high-volume manufacturing (HVM) in 2025, which is also when the company will be using its 18A (1.8nm) fabrication technology. To do so, Intel has been experimenting for quite a while when it first obtained ASML's Twinscan EXE:5000, which was the industry's first EUV scanner with a 0.55 numerical aperture. Today, the company ordered ASML's next-generation High-NA tool, the Twinscan EXE:5200.

High-NA EUV Scanner
Photo: ASML

The new tools are important for a higher resolution that enables the manufacturing of smaller transistors with higher transistor density. The High-NA scanners not only gave a completely different optics design but also promise to officer significantly faster reticle and wafer stages and higher productivity.

"Intel's focus is to stay at the forefront of semiconductor lithography technology and we’ve been building our EUV expertise and capacity over the last year," said Dr. Ann Kelleher, executive vice president and general manager of Technology Development at Intel. "Working closely with ASML, we will harness High-NA EUV's high-resolution patterning as one of the ways we continue Moore's Law and maintain our strong history of progression down to the smallest of geometries."

Report a problem with article
Apple MacBook Pro 2021
Next Article

Apple fixes loophole on its website that allowed anyone to take edu discount

This is a promotional image of Call of Duty Black Ops III
Previous Article

EA wanted to acquire Activision Blizzard, says Bobby Kotick

Join the conversation!

Login or Sign Up to read and post a comment.

5 Comments - Add comment